Banner Edicion Impresa

Monitor Más Monitor


Intel Innovation 2023: IA y el impulso de la Siliconomía

Intel Innovation 2023: IA y el impulso de la Siliconomía
Anunciaron nuevos avances en silicio, empaquetado y soluciones Multi-Chiplet

Publicación:21-09-2023
++--

Intel está incorporando capacidades de IA en sus productos de hardware y haciéndolas accesibles a través de soluciones de software abiertas y multiarquitectura.

 

En el marco de su tercer evento anual Intel Innovation, la compañía ha presentado una serie de tecnologías para llevar la inteligencia artificial (IA) a todas partes y hacerla más accesible en todas las cargas de trabajo, desde el cliente y el edge hasta la red y la nube.

«La IA representa un cambio generacional, dando lugar a una nueva era de expansión global en la que la informática es aún más fundamental para lograr un futuro mejor para todos», afirmó Pat Gelsinger, CEO de Intel. «Para los desarrolladores, ofrece enormes oportunidades sociales y empresariales para ampliar los límites de lo posible, crear soluciones a los mayores retos del mundo y mejorar la vida de todas las personas del planeta».

En la presentación inaugural del evento dirigido a desarrolladores,

Gelsinger mostró cómo Intel está incorporando capacidades de IA en sus productos de hardware y haciéndolas accesibles a través de soluciones de software abiertas y multiarquitectura. También, destacó cómo la IA está ayudando a impulsar la «Siliconomía», una «economía en crecimiento habilitada por la magia del silicio y el software». En la actualidad, el silicio alimenta una industria de 574.000 millones de dólares que, a su vez, impulsa una economía tecnológica mundial valorada en casi 8 billones de dólares.

Nuevos avances en silicio, empaquetado y soluciones Multi-Chiplet

El camino empieza con la innovación del silicio. Según Gelsinger, el programa de desarrollo de procesos de cinco nodos en cuatro años de Intel avanza a buen ritmo: Intel 7 ya se fabrica a gran escala, Intel 4 está listo para su fabricación e Intel 3 está previsto para finales de este año.

Gelsinger también mostró una oblea Intel 20A con los primeros chips de prueba para el procesador Arrow Lake de Intel, destinado al mercado de la informática para cliente en 2024. Intel 20A será el primer nodo de proceso que incluya PowerVia, la tecnología de suministro de energía backside de Intel, y el nuevo diseño de transistor gate-all-around denominado RibbonFET. Intel 18A, que también aprovecha PowerVia y RibbonFET, sigue su camino para estar lista su fabricación en la segunda mitad de 2024.

Otra forma en la que Intel impulsa la Ley de Moore es con nuevos materiales y nuevas tecnologías de encapsulado, como los sustratos de vidrio, un avance que Intel ha anunciado esta semana. Cuando se introduzcan a finales de esta década, los sustratos de vidrio permitirán un escalado continuo de los transistores en un encapsulado para ayudar a satisfacer la necesidad de cargas de trabajo intensivas en datos y de alto rendimiento, como la IA, y mantendrán la Ley de Moore mucho más allá de 2030.

Intel también mostró un paquete de chips de prueba construido con Universal Chiplet Interconnect Express (UCIe). La próxima oleada de la Ley de Moore llegará con los paquetes multi-chiplet, aseguró Gelsinger, o antes si los estándares abiertos pueden reducir la fricción de integrar IP. El estándar UCIe, conformado el año pasado, permitirá que los chiplets de distintos proveedores trabajen juntos, posibilitando nuevos diseños para la expansión de diversas cargas de trabajo de IA. La especificación abierta cuenta con el apoyo de más de 120 empresas.

El chip de prueba combinaba un chiplet IP UCIe de Intel fabricado en Intel 3 y un chiplet IP UCIe de Synopsys fabricado en el nodo de proceso N3E de TSMC. Los chiplets están conectados mediante la tecnología de empaquetado avanzado EMIB (puente de interconexión multi-die integrado). La demostración pone de relieve el compromiso de TSMC, Synopsys e Intel Foundry Services de apoyar un ecosistema de chiplets basado en estándares abiertos con UCIe.

Aumentar el rendimiento y extender la IA a todas partes

Asimismo, Gelsinger destacó la gama de tecnología de IA disponible actualmente para los desarrolladores en las plataformas Intel y cómo aumentará de forma espectacular durante el próximo año.

Los recientes resultados de rendimiento de inferencia de IA MLPerf refuerzan aún más el compromiso de Intel de abordar cada fase del continuo de la IA, incluida la IA generativa más grande y desafiante y los grandes modelos de lenguaje. Los resultados también destacan al acelerador Intel Gaudi2 como la única alternativa viable en el mercado para las necesidades de cómputo de IA. Gelsinger anunció que un gran superordenador de IA se construirá íntegramente con procesadores Intel Xeon y 4.000 aceleradores de hardware Intel Gaudi2 AI, con Stability AI como cliente principal.

Zhou Jingren, chief technology officer de Alibaba Cloud, explicó cómo Alibaba aplica los procesadores Intel Xeon de 4ª generación con aceleración de IA incorporada a «nuestro modelo generativo de IA y grandes lenguajes, los modelos Tongyi Foundation de Alibaba Cloud». La tecnología de Intel, señaló, da como resultado «notables mejoras en los tiempos de respuesta, con una aceleración media de 3 veces».1

Intel también presentó un avance de la próxima generación de procesadores Intel Xeon, revelando que los procesadores Intel® Xeon de 5ª generación aportarán una combinación de mejoras de rendimiento y memoria más rápida, utilizando la misma cantidad de energía, a los centros de datos del mundo cuando se lancen el 14 de diciembre. Sierra Forest, con eficiencia E-core y que llegará en la primera mitad de 2024, ofrecerá 2,5 veces más densidad de rack y 2,4 veces más rendimiento por vatio que los Xeon de 4ª generación e incluirá una versión con 288 núcleos2. Y Granite Rapids, con rendimiento de núcleos P, seguirá de cerca el lanzamiento de Sierra Forest, ofreciendo entre 2 y 3 veces mejor rendimiento de IA en comparación con los Xeon de 4ª generación2.

De cara a 2025, la próxima generación de Xeon con núcleo E, cuyo nombre en código es Clearwater Forest, llegará en el nodo de proceso Intel 18A.

Lanzamiento del PC con IA a través de los procesadores Intel Core Ultra

La IA también está a punto de volverse más personal. «La IA transformará, remodelará y reestructurará fundamentalmente la experiencia del PC, dando rienda suelta a la productividad y la creatividad más personal gracias al poder de la nube y del PC trabajando juntos», afirmó Gelsinger. «Estamos dando paso a una nueva era del PC con IA».

Esta nueva experiencia de PC llega con los próximos procesadores Intel Core Ultra, cuyo nombre en código es Meteor Lake, que incorporan la primera unidad de procesamiento neural integrada de Intel, o NPU, para una aceleración de la IA e inferencia local en el PC que ahorre energía. Gelsinger confirmó que los procesadores Core Ultra también se lanzarán el 14 de diciembre.

Core Ultra representa un punto de inflexión en la hoja de ruta de los procesadores para cliente de Intel, ya que es el primer diseño de chip cliente habilitado por la tecnología de encapsulado Foveros. Además de la NPU y de los importantes avances en eficiencia energética gracias a la tecnología de proceso Intel 4, el nuevo procesador ofrece un rendimiento gráfico de nivel discreto con los gráficos Intel  Arc integrados.

En la presentación, Gelsinger mostró una serie de nuevos casos de uso de PC con IA, y Jerry Kao, chief operating officer de Acer, ofreció un anticipo de un próximo portátil Acer equipado con Core Ultra. «Hemos estado co-desarrollando con los equipos de Intel un conjunto de aplicaciones de IA de Acer para aprovechar la plataforma Intel Core Ultra», explicó Kao, «desarrollando con el kit de herramientas OpenVINO y bibliotecas de IA co-desarrolladas para dar vida al hardware».

Siliconomía para los desarrolladores

Según Gelsinger, «en el futuro, la IA deberá ofrecer más acceso, escalabilidad, visibilidad, transparencia y confianza a todo el ecosistema». Para ayudar a los desarrolladores a desbloquear este futuro, Intel ha anunciado:

Disponibilidad general de Intel Developer Cloud: Intel Developer Cloud ayuda a los desarrolladores a acelerar la IA utilizando las últimas innovaciones de hardware y software de Intel -incluidos los procesadores Intel Gaudi2 para aprendizaje profundo- y proporciona acceso a las últimas plataformas de hardware de Intel, como los procesadores Intel® Xeon® Scalable de 5ª generación y las GPU Intel® Data Center Max Series 1100 y 1550.

Al utilizar Intel Developer Cloud, los desarrolladores pueden crear, probar y optimizar aplicaciones de IA y HPC. También pueden ejecutar cargas de trabajo de entrenamiento, optimización de modelos e inferencia de IA de pequeña a gran escala que se despliegan con rendimiento y eficiencia. Intel Developer Cloud se basa en una base de software abierto con oneAPI -un modelo de programación abierto multiarquitectura y multiproveedor- para ofrecer la posibilidad de elegir el hardware y liberarse de los modelos de programación propietarios para apoyar la computación acelerada y la reutilización y portabilidad del código.

La versión 2023.1 de la distribución del kit de herramientas OpenVINO de Intel: OpenVINO es el tiempo de ejecución de inferencia y despliegue de IA de Intel elegido por los desarrolladores en plataformas cliente y periféricas. La versión incluye modelos pre entrenados optimizados para su integración en distintos sistemas operativos y soluciones en la nube, incluidos muchos modelos generativos de IA, como el modelo Llama 2 de Meta.

En el evento, empresas como ai.io y Fit:match demostraron cómo utilizan OpenVINO para acelerar sus aplicaciones: ai.io para evaluar el rendimiento de cualquier atleta potencial; Fit:match para revolucionar las industrias minoristas y de bienestar para ayudar a los consumidores a encontrar las prendas que mejor se ajustan.

Project Strata, y el desarrollo de una plataforma de software edge-native: La plataforma se lanzará en 2024 con bloques modulares, servicios premium y ofertas de soporte. Se trata de un enfoque horizontal para escalar la infraestructura necesaria para el intelligent edge y la IA híbrida, y reunirá un ecosistema de aplicaciones verticales de Intel y de terceros. La solución permitirá a los desarrolladores crear, desplegar, ejecutar, gestionar, conectar y proteger la infraestructura y las aplicaciones distribuidas.



« Especial »